半导体薄膜沉积设备产业研究:市场空间广阔,国产设备商百花齐放

产业解读 417条 2021-09-13 08:31

一、半导体制造的核心工艺设备之一,市场空间广阔 (一)薄膜沉积设备价值量高、需求占比大 全球晶圆厂进入新一轮扩产周期, 2021 年半导体设备投资额有望实现 30% 以上增速。预测全球半

一、半导体制造的核心工艺设备之一,市场空间广阔

(一)薄膜沉积设备价值量高、需求占比大

全球晶圆厂进入新一轮扩产周期,2021年半导体设备投资额有望实现30%以上增速。预测全球半导体制造设备市场2021年全年将增长34%达到953亿美元,2022年有望再创新高突破1,000亿美元大关。在分下游应用的销售额预测中,SEMI预测2021-2022年,在下游逻辑芯片以及存储芯片强劲需求带动下,晶圆厂扩产增效将带来半导体设备尤其是晶圆制造设备投资额的新一轮增长。预计2021年全球半导体晶圆制造设备817亿美元,较上年增长33.5%

b3119313b07eca806614fdb7ca608fd4a144831d.jpeg

中国大陆已成全球最大半导体设备市场,制造环节占比稳步提升,设备自给率仍然较低。受益于大陆晶圆厂扩产增效,2020年大陆半导体设备销售额187.2亿美元,同比增长39%,首次超过中国台湾地区成为全球第一大半导体设备市场,占全球份额由2016年的16%升至26%。根据中国半导体行业协会统计,2016-2020中国集成电路行业销售收入CAGR增速达19.5%,其中制造环节收入占比由2016年的26%稳步提升至2021年一季度31%,制造环节重要性日益凸显。相较于国内设备市场扩容速度,国产化率仍处在较低水平,未来国产替代具备广阔空间。

集成电路的设备可分为前道工艺设备(晶圆制造)和后道工艺设备(封装测试)两 大类,前者占集成电路设备整体市场规模的80%以上。晶圆制造设备按照所执行的工艺步骤又分为氧化/扩散、光刻、刻蚀、离子注入、清洗、CMP和金属化设备七类。其中光刻机、刻蚀机和薄膜沉积的技术难度最大,价值量占比最高。据拓荆科技招股说明书,2020年新建晶圆厂设备投资中,三类设备投资额占比分别达到23%30%25%

5fdf8db1cb134954b960a3db0c0d8a51d0094adb.jpeg

薄膜沉积工艺是指在硅片衬底上沉积一层功能薄膜。根据薄膜材料的不同可以分为金属薄膜(AL/Cu/W/Ti)、介质薄膜(SiO2/Si3N4)以及半导体材料薄膜(单晶Si、多晶Si)。如果将芯片按照系统级-模块级-寄存器传输-逻辑门-晶体管这样自上而下的视角拆解,将得到成千上万个晶体管以及连接它们的导线。相应的,晶圆加工工序可大致拆解为基板工序FEOL(负责在基板上制造出晶体管等部件形成MOS结构、介质膜、接触孔等结构)和布线工序BEOL(将FEOL制造各部件与金属材料连接布线形成电路)。而构成这些微观结构的主要“骨架”,起到产生导电层或绝缘层、阻挡污染物和杂质渗透、提高吸光率、临时阻挡刻蚀等重要作用的,正是上述各种材质的薄膜。只有通过重复进行薄膜沉积-光刻-刻蚀等步骤,才能在FEOLBEOL工序中实现微观结构的堆叠组合。

(二)四大因素推动行业加速扩容

市场空间:半导体行业整体景气度提升,拉动市场对薄膜沉积设备需求的增加。根据拓荆科技招股书引用的Maximize Market Research2020年全球半导体薄膜沉积设备市场规模达172亿美元,年复合增长率11.2%,薄膜沉积设备(包含CVD及其他沉积设备)在晶圆产线各类设备中的价值占比将稳定在20%以上。未来,集成电路制造业产能扩张、产品升级和技术节点突破将带来半导体薄膜沉积设备市场规模的高速增长。根据Maximize Market Research预测,2025年全球半导体薄膜沉积设备市场规模将扩大至340亿美元,年复合增速13%以上。

241f95cad1c8a78619e208453c4ad13471cf5079.jpeg

展望未来,下游晶圆厂扩产增效、逻辑芯片代工厂先进产线占比提升以及FLASH存储芯片3D NAND技术普及将进一步推动薄膜沉积设备的行业空间扩容。先进制程对薄膜工艺和材料的精密化、多样化要求将催生更多行业增长点,为国产替代提供契机。

驱动因素一:晶圆厂扩产增效将带动设备需求。5G手机、云/服务器内存和汽车电子端的旺盛需求促使全球晶圆厂扩产增效,半导体设备投入随之增加。根据 SEMI,截至今年5月,全球半导体设备订单392.7亿美元,较去年同期增长54%。从分地区订单情况来看中国大陆前5个月的设备订单额112.4亿美元,增速达80%

驱动因素二:先进制程逻辑芯片的沉积工序增多,多重曝光技术拉动薄膜沉积设备需求。据Gartner数据,预计到2024年用于7nm以下制程的半导体设备出货量占比有望突破30%。先进制程主要用于高性能数字电路或者对低功耗要求较高的集成电路。在5G通信技术、数据中心、智慧城市、汽车电子、人工智能等一系列新技术及市场需求驱动下,先进制程产线占比将稳步提高。先进制程下,晶圆制造的复杂度和工序量都大大提升,为保证产能,产线上需要更多的设备。以SMIC180nm8寸产线和90nm12寸产线为例,在实现相同的芯片等效产能的情况下,对薄膜沉积设备的需求量将相应增加4-5倍。特别地,对于制程在14nm及以下的逻辑器件微观结构,由于普遍使用的浸没式光刻机受到波长限制,加工将通过等离子体刻蚀和薄膜沉积的工艺组合,即多重模板效应来实现,这将使得相关薄膜设备的加工步骤增多。

63d0f703918fa0ec4e342f5375d441e73c6ddb4d.jpeg

随着芯片集成度不断提升,晶体管结构也在接近物理尺寸的极限。自2011年开始,代工厂开始采用效率更高、功耗更低的22nm/16nm/14nm FinFET晶体管结构,随之而来的问题——当光罩线宽接近光源波长时将会发生明显的衍射效应,从而导致光刻工序的失败。波长与功率始终是光刻核心指标:光源波长越短、功率越高,光刻机分辨率越高,可以实现的光罩线程结构越密集。

为了追求更高的图形密度和更小工艺节点,业界提出两条路线:一条路线是开发波长更短(13.5nm)、保真度更高的极紫外(EUV)光刻机,用在7nm及以下节点的BEOL金属1层及过孔生成等工序。ASML推出的EUV系统NXE 3400C具有0.33数值孔径(NA),而其正在研发的high NA EUV则具有0.55NA的透镜,能够实现8nm分辨率。

最新研发的EUV光刻技术主要针对就是在2023年实现3nm及以下节点。这一路线的优点在于大大减少了曝光工序,成本和良率问题得到有效解决,缺点在于EUV光源和高数值孔径镜头的研发难度大,ASML High-NA EUV预计2023年后量产。另一条路线则是在高阶EUV量产之前,使用目前主流的ArF DUV光刻机(波长193nm),通过浸润、相移掩模、多重曝光等方法,满足28nm以下7nm以上的制程工艺。其缺点在于良率问题(多重曝光增加了套刻误差overlay控制难度)和成本问题(多重曝光加倍了掩膜版成本),因此更多被定位为向先进制程的过渡和补充。

d009b3de9c82d158126f33ffd34901d1bd3e425f.jpeg

多重曝光技术是指在现有的光刻机精度下,依次使用不同的掩膜版,分别进行两次及以上的曝光,将一次曝光留下的介质层作为二次曝光的部分遮挡层。LELESADP是较常用的多重曝光技术。LELE技术将给定的图案分为两个密度较小的部分,通过蚀刻硬掩模,将第一层图案转移到其下的硬掩模上,最终在衬底上得到两倍图案密度;SADP技术通过沉积和刻蚀工艺在心轴(mandrel)侧壁上形成间隔物,经由额外的刻蚀步骤移除心轴,使用间隔物定义最终结构,使得特征密度增加了一倍。

需要注意的是,国内技术节点落后国外3-4个世代,SMIC 目前进入规模量产的是28nm/14nm/12nm节点。从国内现状出发,同时借鉴海外晶圆厂的经验可以发现,多重曝光技术作为对现有DUV的补充以及向EUV的过渡大有可为。国内薄膜设备市场充分受益,国产替代正当时。

驱动因素三:FLASH存储芯片:3D NAND成为主流,堆叠层数与薄膜工序直接挂钩。传统的2D(Planar) NAND将存储单元按照行和列排列在晶圆平面上,依靠光刻精度进步来缩小存储单元的尺寸和间距,从而提升存储容量。这种技术架构面临两方面限制:一方面是经济性的限制,当发展到14nm以下制程时光刻技术的成本和复杂性将陡然提升;另一方面是物理限制,随着存储单元的尺寸逼近物理极限,串扰、耦合(interference)等现象会影响存储性能。与房地产的思路不无相似之处,东芝和三星最早开发了3D NAND技术将一系列的存储单元垂直构建,通过增加薄膜沉积和刻蚀工序来解决光刻节点和物理尺寸的种种限制,这一技术随后很快被全球的存储芯片厂商采用,目前已推出128/176层的3D NAND设备。

驱动因素四:芯片工艺进步及结构复杂化,先进制程下薄膜设备精密化、多样化,由此产生各种薄膜沉积工艺设备份额的变化。在薄膜性能方面,先进制程的前段工艺对薄膜均匀性、颗粒数量控制、金属污染控制的要求逐步提高。在设备种类方面,台阶覆盖能力强、薄膜厚度控制精准的 ALD 设备,高深宽比沟槽孔洞填充能力强,沉积速度快的 SACVD 等新设备被引入产线。

9c16fdfaaf51f3de0d8ce416ccade8163a297956.jpeg

二、多工艺路线并存,设备百花齐放

(一)PVDCVD相互补充,路线、材料多元化

ULSI时代多层金属化对成膜质量与制膜成本的要求日益严苛,薄膜材料与工艺日趋复杂。在MSI(中规模集成电路)和LSI(大规模集成电路)时代,硅片的设计和加工较为直接,淀积层数较少,对淀积工艺要求不算高。一个早期Nmos晶体管的特征尺寸远大于1μm,硅片上各层并不平坦。随着芯片集成度提高,器件和导线的特征尺寸都要有一个同等比例的缩小。

薄膜沉积按照原理不同可以分为化学工艺和物理工艺。化学工艺主要包括化学气相沉积(Chemical Vapor Deposition)和电镀(Electro Chemical Plating),物理工艺包括物理气相沉积(Physical Vapor Deposition)、蒸发、旋涂方法等。化学气相沉积是指通过气体混合的化学反应在硅片表面沉积一层固体膜的工艺,根据反应条件(压强、前驱体)的不同又分为常压CVDAPCVD)、低压CVDLPCVD)、等离子体增强CVD(PECVD)、高密度等离子体CVDHDPCVD)和原子层沉积(ALD)。一颗芯片的制造过程中,涉及十余种不同材料的薄膜,各类电性能、机械性能不同的薄膜构成了芯片 3D 结构体中不同的功能。CVDPVD是采用最多的两种薄膜淀积工艺,一般来说绝缘薄膜采用CVD,金属薄膜既可用PVD也可用CVD

d01373f082025aafd9194316a8aeb36d024f1a8b.jpeg

市场空间方面,据Gartner统计,CVD始终是应用最广的沉积设备,市场空间近90亿美元,占沉积设备整体市场份额的64%。其中等离子体CVD与原子层沉积成为最主流的CVD技术,分别占到34%13%的市场份额。PVD的应用仅次于CVD,市场空间达到30亿美元,目前的PVD技术以溅射(sputtering)路线为主。

市场格局方面,以应用材料、泛林半导体、东京电子等国际巨头形成的垄断格局已经较为明显。据Gartner统计,2020年以上三家在全球沉积设备的市场份额分别达到43%19%11%。应用材料一家在溅射PVD设备独占87%的市场份额,具有绝对统治力,在等离子体CVD中也有近49%的份额;泛林在LPCVD和电镀设备市场占据较高的份额。东京电子在管式CVD设备市场占有率达46%。此外,半导体设备巨头ASMI则在适用于先进制程的原子层沉积(ALD)具备较强的技术储备,在相应细分市场占有率46%

(二)CVD:占比最高的沉积设备,需求大、种类多,格局相对分散

常压CVD(APCVD):APCVD反应往往发生在质量运输限制区,即限制沉积速度的关键因素在于反应物输运速率而非化学反应速率。连续APCVD系统有高的设备产量、优良的连续性以及制造大直径硅片能力。问题在于较高的气体消耗量,并且需要经常清洁反应腔和传送装置。此外APCVD的台阶覆盖能力差,主要用于淀积SiO2和掺杂的氧化硅(如PSG,BPSG,FSG)薄膜作为层间介质(ILD),起到保护性覆盖物或表面平坦化的作用。据Gartner统计,2020年全球管式CVD设备市场空间14亿美元,在各类薄膜设备中占比约10%,已逐渐被应用更广的等离子体和原子层沉积技术超过。日本半导体设备厂商东京电子和同业国际电气(Kokusai Electric,已被应用材料收购)在全球管式CVD设备市场中分别占据46%51%的份额。

37d12f2eb9389b50f847b7d4d676fdd4e6116e4c.jpeg

等离子体辅助CVD,主要包括PECVDHDPCVD,工作原理是在真空腔中施加射频功率使气体分子分解为等离子体。等离子体的作用是触发化学反应,并提供维持CVD淀积所需的能量和热量。使用等离子体的优点在于:(1)更低的热预算(250-450)(2)更好地填充高深宽比间隙(HDPCVD)(3)高的淀积速率,(4)少的孔洞因而具备高的膜密度。PECVD通常设计为冷壁反应器,发生在硅片以外的沉积较少,停工清洗时间更短。

主要用于制备二氧化硅、氮化硅、氮氧化硅薄膜等。与LPCVD相比,PECVD的热预算更低,膜应力也有明显改善。HDPCVD的优点在于低热预算(300-400)下高深宽比间隙的填充,被用在制作ILD,ILD-1STI,刻蚀终止层以及低K介质的淀积。应用等离子体的CVD技术已成为CVD乃至薄膜沉积的主流。据Gartner统计2020年全球等离子体CVD设备市场空间达47亿美元,远超其他类别的沉积设备。应用材料、泛林半导体的此类设备市占率分别为49%34%,设备种类更全,在薄膜材料和淀积指标上处在领先地位。

(三) PVD:以溅射为主,市场高度集中

物理气相沉积(PVD):用于半导体布线工艺的金属化,PVD方法经历了灯丝蒸发-电子束-溅射的演变。溅射由William Robert Grove 1852年发现,并由Langmuir在上世纪20年代开发成薄膜沉积技术。在溅射过程中,高能粒子装机具有高纯度的靶材料,按物理过程撞击出原子,这些原子穿过真空淀积在硅片上。相比于蒸发,溅射可以获得更好的台阶覆盖和通孔填充能力,通常用于关键阻挡层和种子层,如用于淀积铜布线工艺的钽和氮化钽阻挡层。常用的溅射系统包括RF(射频)、磁控和IMP(离子化的金属等离子体)。

Gartner统计,2020年溅射PVD设备的市场空间达到近30亿美元,占比21%,应用仅次于等离子体CVD。应用材料在PVD设备市场具备绝对优势,市场占有率达到85%以上。

f636afc379310a55005e37d1e4065ba08226106d.jpeg

三、全球市场空间千亿级别,垄断格局明显

(一)半导体设备投资快速增长,CVD占据主流

半导体设备逆势增长,薄膜沉积设备中CVD占比最高。在2020年全球经济增长放缓,疫情影响持续蔓延的情况下,半导体行业高速增长。特别是晶圆厂设备市场同比增长14%,领跑整个高科技产业。据Gartner统计,2020年全球薄膜沉积设备市场空间约140亿美元,占晶圆厂设备投资额的25%。薄膜沉积工艺中CVD技术路线较多,具有较好的孔隙填充和膜厚控制能力。由于化学气相沉积具有优良等角的台阶覆盖以及对高深宽比通孔无间隙地填充能力,CVD在金属沉积方面的应用正在增加,预计CVD设备的占比将始终保持在60%以上。

等离子体(Plasma)和原子层沉积(ALD)贡献新增长点。等离子体辅助CVD成为化学气相沉积的主流技术。引入等离子体有效降低了沉积工艺的热预算,同时提升了沉积速率和对高深宽比孔隙的填充能力。使用等离子体的化学沉积工艺包括PECVDHDPCVD等。此外,随着集成电路特征线宽不断缩小,沉积工艺对薄膜厚度的控制要求越来越精细。ALD基于化学吸附和顺次反应的的自限性质,可以实现以单层原子作为厚度单位的薄膜沉积。据Gartner预测,到2024年等离子体CVDALD将分别占到CVD设备市场的51%19%

CVDPVD垄断格局明显,ALD市场相对分散。以应用材料、泛林半导体、东京电子为代表的海外半导体设备供应商由于起步较早,具备雄厚的研发实力和全面的产品谱系,在薄膜沉积尤其是CVDPVD设备市场占有较大的份额。ALD在铜种子层、高K栅介质淀积等工序中发挥着重要的作用,是发展较晚的新一代纳米级CVD工艺,垄断程度较低,国内以拓荆科技为代表的自主装备企业已形成布局。

bd3eb13533fa828b10482f51a65c593d960a5ae1.jpeg

(二)应用材料:产品谱系全面,PVD设备一枝独秀

应用材料公司1967年成立于美国,是国际领先的半导体和显示设备制造商。公司主营业务包括半导体设备、显示器、光伏及自动化软件等。公司2020财年营收172亿美元,同比增长17.8%,毛利率44.7%。半导体设备销售额近114亿美元,在营收占比达 66%。公司在半导体设备领域技术储备丰富,产品覆盖薄膜沉积、刻蚀、掺杂、光掩模、RTPCMP等多道工序。2020年应用材料在大陆的设备销售额同比增长27.6%,大陆已成为公司最重要的设备销售市场。

公司提供最全面的薄膜沉积系列设备,可用于CVD/PVD/电镀及外延等多种工艺。根据Gartner数据,2020年薄膜沉积设备占到公司半导体设备销售收入的50%,其中以PVDCVD为主,占比分别为43%42%,其余15%为电镀、外延等沉积设备。CVD设备中90%以上为等离子体辅助设备(包括PECVD/HDPCVD)。CVD设备方面,CenturaCobaltEndura系列支持钨、钴等金属材料的沉积,Producer系列支持氧化物、氮化物、低k介电层等多种材料的沉积;PVD设备方面,Endura支持1xnm以下的金属化淀积,应用包括阻挡层、铜种子层等;ALD设备方面,Centura iSprint支持1xnm以下的钨塞填充,Olympia支持介电层薄膜的ALD淀积;电镀设备方面,Nokota支持20nm以下的铜、锡/银合金、镍、金电镀;外延方面,Centura EPI 系列用于锗和硅锗晶体基层的外延生长。

ca1349540923dd540a3f48d2854aabd79d82488c.jpeg

(三)泛林半导体: CVD及沉积后处理工艺,ECD一家独大 泛林半导体(LAM Research.

公司19801月成立于美国加利福尼亚州弗里蒙特,主营业务为向全球晶圆厂销售技术领先的半导体设备,并提供耗材、产品升级等售后服务。2020财年公司营业收入约146亿美元,同比大增46%,毛利率46.5%。中国大陆已成为公司最重要的设备销售市场,来自大陆客户的收入占比稳步提升,2020年达到35%。公司主要产品用于薄膜沉积、刻蚀、去胶清洗以及质量计量。

薄膜沉积设备方面,公司有较全面的CVD设备布局,此外还布局电镀技术和沉积后薄膜处理技术。导体薄膜沉积方面,ALTUS家族主要用于触点、通孔、插塞等纳米级导电结构的钨填充。这些结构的尺寸在纳米以下,面临极力降低接触电阻以满足先进器件的低功耗和高性能要求的挑战。ALTUS 系统处于市场领先水平,结合CVDALD,用于先进的钨金属化工艺中高保形薄膜沉积工序,应用场景包括钨插塞、接触孔和通孔填充、3D NAND字线、低应力复合互连,以及用于通孔和接触孔金属化的WN阻挡膜。SABRE系列可实现铜、钨等其他金属的电化学沉积ECD,用于导用于先进硅片级封装(WLP 和硅通孔(TSV)结构。

这些微型导电构件有助于缩小器件的整体尺寸,生产出更小、更快、更强大的移动电子设备。 SABRE 3D系列将泛林集团可靠的SABRE Electrofill 技术与其他创新技术相结合,可提供硅片级封装和硅通孔应用所需的高质量薄膜,且具有高生产效率。电介质薄膜沉积方面,VECTORStrikerSPEED系列可分别实现绝缘层的PECVDALDHDPCVD沉积过程。

沉积后处理技术方面,公司首屈一指的SOLA系列可以解决低k薄膜面临的应力问题。通过专有处理工艺(暴露于紫外光、气体和蒸汽及加热等)修正后,可改善已沉积薄膜的物理特性。借助多点序列沉积(MSSP)架构,通过在硅片制造路径各个点独立控制温度、波长和强度,用于氮化硅薄膜的应力处理。

fc1f4134970a304efa0bf9e1828bbf8fc9175c48.jpeg

(四)东京电子: CVD见长,ALD亦有布局

东京电子(TEL,Tokyo Electron Limited)成立于1963年,是日本最大的半导体制造设备提供商,也是世界第四大半导体制造设备提供商(仅次于应用材料、阿斯麦和泛林半导体)。2021财年公司营业收入13,991亿日元,同比增长24%,毛利率和营业利润率分别为40%23%。公司主营业务包括半导体制造设备和平板显示器制造设备的研发和生产,2021财年公司半导体设备业务收入13,152亿日元,同比增长24%,营业利润率28%,平板显示器业务收入837亿日元,营业利润率11%

公司的半导体设备覆盖半导体制造流程中的大多数工序。其主要产品包括:涂布/显像设备、热处理成膜设备、干法刻蚀设备、CVD、湿法清洗设备及测试设备。据Gartner统计,2020年公司薄膜沉积设备销售额199亿美元,沉积设备市场占有率11%仅次于应用材料和泛林半导体。其管式CVD与非管式LPCVD设备均有30%以上的占有率,ALD设备亦有29%市场占有率,仅次于ASMI

公司薄膜沉积设备共有五个类别共计 18个系列:

1)热处理设备,应用范围从传统的硅处理,如扩散氧化物和退火到LPCVD硅、SiO2Si3N4到前沿ALD SiO2Si3N4和高k电介质,以及自由基(非等离子体)氧化,包括TELINDY (PLUS)系列、 TELFORMULA系列以及ALPHA系列;

2)磁退火设备,为各种磁性设备提供最佳的磁性退火工艺,如用在磁阻随机存取存储器(MRAM)、HDD磁头、用于智能电源、智能手机、汽车和其他应用的磁传感器,包括MRT300系列、MRT500系列、MATr系列;

3PVD设备, EXIM系列通过创新的300毫米PVD系统扩展了TEL的产品组合,尤其是在为自旋转矩随机存取存储器(STT-MRAM)沉积多层膜方面,用于制作下一代非易失性存储器;

4ALD设备,NT333系列是TEL的第一个用于ALD(原子层沉积)的半批次腔室。它在原子水平上提供薄膜厚度控制,采用了空间ALD方法而不是传统的分时ALD技术,从而提供了优异的薄膜质量和高生产率。在每个腔室中可以同时处理多个基板。腔室本身被划分为互补部分,通过基板旋转以连续模式同时暴露和吸附前体和其他反应物。通过晶圆台的一次旋转执行一次ALD循环;

5)单晶圆沉积系统, Triase系列可直接集成各种300mm处理模块,主要用于高精度金属沉积工艺,如钛、锡和钨,用于插塞和电极成型,具有优异的工具可靠性。

b812c8fcc3cec3fd6393535685cbcc3687942734.jpeg

四、国产设备厂商积极布局,逐步突破

(一)拓荆科技

拓荆科技成立于2010,主营业务为半导体薄膜沉积设备,公司产品已经广泛应用于国内晶圆厂14nm及以上制程集成电路产线,并已经开展10nm及以下产品验证测试。公司主要产品为等离子体增强化学气相沉积设备(PECVD)、原子层沉积设备(ALD)和次常压化学气相沉积设备(SACVD),用于晶圆制造产线薄膜沉积工艺。根据公司招股书,作为国内唯一一家产业化应用的集成电路,PECVDSACVD设备厂,公司主营设备的市场开拓还处于起步阶段。

收入方面,2018年至2020年,拓荆科技营业收入分别为0.71亿元、2.51亿元和4.36亿元,2020年毛利率为34%PECVD设备占主营业务收入的比例分别77.98%100%97.55%。而其余ALD设备和SACVD设备还处于产品发往不同客户端进行产线验证的市场开拓阶段。销量方面,2020年拓荆科技PECVD设备产量50台,销量31台,同年ALD设备产量仅1台,销量为零,SACVD设备产量3台,售出一台。截止2020年末。公司发出商品56台,其中尚未取得正式订单、仅通过DEMO订单等形势安排发运的设备共计20台。公司已经累计发货150套机台。

公司ALD设备在国内处于领先地位,已经发往客户验证,在14nm及以下制程逻辑芯片、17nm及以下DRAM芯片中有着广泛应用。公司的ALD设备,在实现了薄膜颗粒数量和薄膜厚度的精确控制的同时,有效缩短了成膜反应时间,减少了反应气体的使用量,提高了设备的使用效率。PE-ALD设备可以沉积SiO2SiN材料薄膜,目前已适配55-14nm逻辑芯片制造工艺需求。在PE-ALD设备成功量产基础上,为满足 28nm以下芯片制造所需的Al2O3AlN等金属化合物薄膜的工艺需要,公司正在研发Thermal ALD设备。

公司还是国内唯一一家产业化应用的集成电路SACVD设备厂商。SACVD设备用于沉积BPSGSAF材料薄膜,适配12英寸40/28nm以及8英寸90nm以上的逻辑芯片制造工艺需求。

730e0cf3d7ca7bcbd12d0fe8ec4a736af724a874.jpeg

(二)北方华创

北方华创主要从事基础电子产品的研发、生产、销售和技术服务,主要产品为电子工艺装备和电子元器件,是国内主流高端电子工艺装备供应商,也是重要的高精密电子元器件生产基地。公司电子工艺装备主要包括半导体装备、真空装备和锂电装备,广泛应用于集成电路、半导体照明、功率器件、微机电系统、先进封装、新能源光伏、新型显示、真空电子、新材料、锂离子电池等领域。电子元器件主要包括电阻、电容、晶体器件、微波组件、模块电源等,广泛应用于精密仪器仪表、自动控制等高、精、尖特种行业领域。

PVD设备方面,北方华创微电子突破了溅射源设计技术、等离子产生与控制技术、颗粒控制技术、腔室设计与仿真模拟技术、软件控制技术等多项关键技术,建立了具有自主知识产权的核心技术优势,形成了国产集成电路领域高端薄膜制备设备零的突破,设备应用跨越90-14nm多个技术代,代表着国产集成电路薄膜制备工艺设备的较高水平,并成功进入国际供应链体系。据公司官网介绍,公司先后在集成电路、先进封装、LED等领域研制了具有自主知识产权的13PVD产品并成功产业化,从2012年设备销售至今,已实现超过200台设备销售,总计超过800万片量产。

CVD设备方面,公司凭借十余年的微电子领域高端工艺设备开发经验,先后完成了PECVDAPCVDLPCVDALD等设备的开发,致力于为集成电路、半导体照明、微机电系统、功率半导体、化合物半导体、新能源光伏等领域提供各种类型的CVD设备,满足客户多种制造工艺需求。公司自主开发的卧式PECVD 已成功进入海外市场,为多家国际领先光伏制造厂提供解决方案。而硅外延设备在感应加热高温控制技术、气流场、温度场模拟仿真技术等方面取得了重大的突破,达成了优秀的外延工艺结果,获得多家国内主流生产线批量采购。面向LED领域介质膜沉积的PECVD设备,凭借优秀的工艺性能和产能优势,已成为LED客户扩产优选设备。

ALD设备方面,公司突破了前驱物输运系统控制技术、均匀稳定的反应室热场及流场控制技术、等离子产生与控制技术、脉冲射频的快速调频匹配技术、高效无损伤原位清洗技术及软件控制技术等多项关键技术,建立了具有自主知识产权的核心技术优势。公司先后研制了具有自主知识产权的热原子层沉积(Thermal ALD)设备、等离子体增强原子层沉积(PEALD)设备两个系列产品,可沉积Oxide(HfO2/Al2O3)、Metal(TiN/TaN)、PE-SiNPE-SiO2等多种薄膜,2018年形成设备销售,实现了国产集成电路领域高端薄膜制备设备零的突破。

f11f3a292df5e0fe123820d405232ca15fdf728b.jpeg

(三)中微公司

中微半导体设备股份有限公司2004年成立于上海张江,是一家以中国为基地、面向全球的微观加工高端设备公司。公司主营业务为半导体集成电路制造、先进封装、LED 生产、MEMS 制造以及其他微观工艺的高端设备生产销售。等离子体刻蚀设备已在国际一线客户从 65 纳米到 14 纳米、7 纳米和 5 纳米的集成电路加工制造及先进封装中有具体应用。公司的 MOCVD 设备在行业领先客户的生产线上大规模投入量产,公司已成为世界排名前列、国内占领先地位的氮化镓基 LED 设备制造商。

在沉积设备领域,公司投资了沈阳拓荆科技,目前持有拓荆科技11.2%股份。拓荆从介质薄膜开始做PECVD、等离子体加强的CVD,还有低kCVD,然后做到ALD,也是大部分做介质的。沈阳拓荆集中在绝缘体。为与拓荆科技在介质薄膜沉积形成技术互补,中微在薄膜沉积技术的布局将集中在单晶外延、以及金属LPCVD两个方向,并组织了两个团队:(1)开发EPI设备,主要在 foundry logic device,主要应用是SiSi/Ge EPI;(2)开发LPCVD,主要聚焦存储芯片,主要应用是WWNTiN等。据公司《向特定对象发行股票募集说明书》披露,公司拟募集资金用于中微产业化基地建设项目、中微临港总部和研发中心项目以及科技储备资金,合计募集资金拟投入额100亿元。

其中:(1)中微产业化基地建设项目拟募集31.7亿元,用于扩充和升级等离子体刻蚀设备、MOCVD设备、热化学CVD设备、环境保护设备,相应产能规划情况分别为 630/年、120/年、220/年、180/年;(2)中微临港总部和研发中心项目拟募集37.5亿元用于研发CCP刻蚀设备、ICP刻蚀设备、MOCVD设备以及热化学CVD设备(研发内容包括HPCVD、导体薄膜 LPCVDALDEPI 等设备的开发及工艺应用开发);(3)拟募集30.8亿元作为科技储备资金,用于新产品协作开发和对外投资并购。未来五年内公司预计投入3.0亿元用于与国内设备公司合作研发PECVD等化学薄膜设备。

b2de9c82d158ccbf6191b19e4a9ba437b1354134.jpeg

(四)盛美股份

盛美半导体设备(上海)股份有限公司,2005年成立于张江高科技园区,是具备世界领先技术的半导体设备制造商。公司主要产品包括半导体清洗设备、半导体电镀设备和先进封装湿法设备等,通过自主研发的单片兆声波清洗技术、单片槽式组合清洗技术、电镀技术、无应力抛光技术和立式炉管技术等,向全球晶圆制造、先进封装及其他客户提供定制化的设备及工艺解决方案。

公司具有高新技术企业资质,研发实力雄厚,承担十一五国家科技重大专项课题“65-45nm铜互连无应力抛光设备研发项目”的研发和十二五国家科技重大专项课题“20-14nm铜互连镀铜设备研发与应用”和“45-22纳米单片晶圆清洗装备研发与应用”的研发。公司立足自主创新,通过多年的技术研发和工艺积累,成功研发出全球首创的 SAPS/TEBO兆声波清洗技术和 Tahoe 单片槽式组合清洗技术,可应用于 28nm及以下技术节点的晶圆清洗领域,可有效解决刻蚀后有机沾污和颗粒的清洗难题,并大幅减少浓硫酸等化学试剂的使用量,在帮助客户降低生产成本的同时,满足节能减排的要求。

在薄膜沉积及处理设备领域,公司产品包括多阳极局部电镀设备及立式炉设备。电镀设备适用于55/40/2828nm以下的双大马士革电镀工艺,采用脉冲局部电镀技术沉积超薄铜种子层,设备应用于12寸晶圆。在前道铜互联领域,盛美半导体是除 LAM外,全球范围内少数几家掌握芯片铜互连电镀铜技术核心专利的公司之一。

其自主开发了针对20-14nm及更先进技术节点的芯片制造前道铜互连镀铜技术(Ultra ECP map),采用多阳极局部电镀技术的新型电流控制方法,实现不同阳极之间毫秒级别的快速切换,在超薄籽晶层上完成无空穴填充;同时通过对不同阳极的电流调整,在无空穴填充后实现更好的沉积铜膜厚的均匀性。目前,盛美半导体的半导体电镀设备已经持续接到了客户的订单 。立式炉设备可大批量处理300毫米的晶圆,可应用于高性能的半导体制造LPCVD、氧化、退火和ALD应用,一次性操作装载的晶圆数量为50-125片(晶圆/批),配备快速升降温控制系统和腔体原位干法清洗系统。

ae51f3deb48f8c54783bcdc4686a35fce1fe7f39.jpeg

(五)屹唐股份

屹唐股份是一家总部位于中国,面向全球经营的半导体设备公司,主要从事晶圆加工设备的研发、生产和销售。核心子公司MTI设立于1988年,主营集成电路设备研发生产,2016年屹唐股份完成对MTI私有化收购。公司已成功推出干法去胶、快速热处理、干法刻蚀设备等拥有高市场认可度的成熟产品系列,并已全面覆盖全球前十大芯片制造厂商。干法去胶设备和快速热处理设备用于90-5nm逻辑芯片、10nm系列DRAM芯片以及32-1283D NAND制造;干法刻蚀设备用于65-5nm逻辑芯片。

五、投资分析

薄膜沉积设备作为半导体制造核心工艺制程设备之一,具备广阔的市场空间;同时由于沉积材料多样、技术路线多样,相较于其他核心工艺而言,薄膜沉积设备给更多的设备商提供了发展的空间。当前国内多家半导体设备商已经取得突破、或者正在积极布局中,未来有望持续受益下游扩产以及国产化进程。

六、风险提示

行业投资波动带来的收入不确定性;行业竞争加剧导致毛利率下滑;技术研发及国产化趋势推进不及预期;国家产业扶持政策变化或扶持力度不及预期。

行业投资波动带来的收入不确定性:受益于需求良好以及自主化进程推进,国内晶圆厂建设仍然处于较高景气中,直接创造了对半导体设备的良好需求。如果由于需求或者工艺等原因,下游晶圆厂建设推迟或者取消,将会对市场需求产生不利影响。

行业竞争加剧导致毛利率下滑:由于半导体专用设备企业的技术发展和市场竞争力与所在国家集成电路产业整体发展水平以及所合作的集成电路制造厂商的工艺水平和市场地位密不可分,国内CMP设备厂商预计仍将在未来较长时间内继续追赶国际龙头。如果竞争对手开发出更具有市场竞争力的产品、提供更好的价格或服务等等,将进一步加剧市场竞争;另外,半导体设备市场的快速增长以及我国巨大的市场规模和进口替代预期,可能吸引更多的潜在进入者,都将进一步加剧市场竞争加剧;

技术研发不及预期的风险:在下游芯片制造厂商技术快速发展的背景下 ,半导体设备厂商的技术迭代升级也面临巨大挑战。如果设备公司产品技术升级不能满足客户对更先进制程生产的需求,或者未来芯片制造颠覆性新技术的出现,都可能对设备公司的经营产生重大不利影响。

(来源:未来智库

热门楼盘

更多>